Welcome![Sign In][Sign Up]
Location:
Search - VHDL DAC

Search list

[VHDL-FPGA-Verilogvhdl程序例子

Description: vhdl程序源代码,包括Combinational Logic Counters Shift Registers Memory State Machines Registers Systems ADC and DAC Arithmetic等-VHDL source code, including Combinational Logic Counters Shift Registers State Machines Registers Memory Systems ADC and DAC Arithmetic etc.
Platform: | Size: 168960 | Author: 王力 | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: 由VHDL 语言实现的DA0832器利用的是QUARTUES环境已经得到验证-By the VHDL language uses the DA0832 is QUARTUES environment has been tested
Platform: | Size: 173056 | Author: df | Hits:

[VHDL-FPGA-Verilogsin

Description: sin產生器,可以於VHDL產生sin之數值波形,進而輸出至dac做轉換-sin generator can produce sin in VHDL of the numerical waveform, and then make the conversion output to dac
Platform: | Size: 1084416 | Author: lin | Hits:

[VHDL-FPGA-Verilogdac

Description: DAC converter design with Verilog code and testbench
Platform: | Size: 527360 | Author: 田磊 | Hits:

[VHDL-FPGA-VerilogDAC

Description:
Platform: | Size: 329728 | Author: 糖欣 | Hits:

[VHDL-FPGA-VerilogDac

Description: 这是一款用VHDL语言编写的对外部DA芯片的控制程序,所用DA转换芯片是TI公司的TLC5615.-This is a VHDL languages used on the external DA chip control procedures, using DA converter chip is TI
Platform: | Size: 311296 | Author: 裴跃生 | Hits:

[VHDL-FPGA-VerilogFPGAAD

Description: FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar-FPGA control AD procedure
Platform: | Size: 269312 | Author: 黄群 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage signal detection/DEMO8 ADC voltage measurement/DEMO9 LCD driver circuit design
Platform: | Size: 736256 | Author: wang | Hits:

[OtherADCDAC

Description: ADC,DAC转换接口~耐心讲述可以学会使用原理-ADC, DAC converter interface ~ patience can be learned about the use of the principle of
Platform: | Size: 171008 | Author: dengaoni | Hits:

[VHDL-FPGA-Verilogdac

Description: 用VhdL编程控制芯片实现D/A转换。-VhdL the realization of D/A conversion.
Platform: | Size: 548864 | Author: 欧阳清 | Hits:

[VHDL-FPGA-Verilogdac

Description: 0~5伏可调数字电压源,以5伏为基准电压,数码管显示当前电压值,使用VHDL语言实现,程序都加了注释,方便阅读。 -0 ~ 5 V digital voltage source adjustable to 5 V for the voltage reference, digital tube displays the current voltage value, the use of VHDL language, the program notes are added to facilitate reading.
Platform: | Size: 1024 | Author: LX | Hits:

[VHDL-FPGA-Verilog61EDA_D1116

Description: A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulator and a one bit DAC. Since, both of these components can be realized using digital circuits, it is possible to implement a low precision Delta-Sigma DAC using a PLD. A better understanding of the Delta-Sigma DAC can-A PLD Based Delta-Sigma DAC
Platform: | Size: 59392 | Author: 郭晨 | Hits:

[SCMDAC

Description: 信号发生器 控制DAC输出最高100M方波、三角波、正弦波-function generator
Platform: | Size: 914432 | Author: wpc | Hits:

[Other101259352dac0832_VHDL

Description: DAC,it is very good for some one
Platform: | Size: 58368 | Author: yexianyang | Hits:

[VHDL-FPGA-Verilogdac

Description: Digital to Analog Converter code VHDL
Platform: | Size: 3072 | Author: gfngk | Hits:

[VHDL-FPGA-Verilogsine_wave_generator_using_FPGA_implementation

Description: 该资料介绍了用FPGA实现正弦波发生器,原理是利用内置rom表,通过查询的方式实现输出,然后经过外部DAC输出,频率达到1MHz-The information on the sine wave generator using FPGA implementation, the principle is the use of built-in rom form, by querying the means to achieve the output, and then an external DAC output frequency of 1MHz
Platform: | Size: 2190336 | Author: 陈振林 | Hits:

[VHDL-FPGA-VerilogDAC

Description: DAC spartan 3e starter
Platform: | Size: 1024 | Author: Truong Toan | Hits:

[MPIDAC

Description: digital to analog converter
Platform: | Size: 51200 | Author: jayantbhoge | Hits:

[SCM实验21 DAC实验 - 副本

Description: 实现采样DAC功能,采用VHDL语言,附有源代码和整个工程。(Implement the sampling DAC function)
Platform: | Size: 2304000 | Author: glt | Hits:

[VHDL-FPGA-VerilogAD5362 Dac write

Description: VHDL Dac 16bit 8 channel AD5362 drive
Platform: | Size: 1638 | Author: metidji77@yahoo.fr | Hits:
« 12 3 4 »

CodeBus www.codebus.net